Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Дослідження найпростіших вузлів вбудованих комп’ютерних систем

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Не вказано
Кафедра:
Не вказано

Інформація про роботу

Рік:
2014
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Проектування вбудованих комп’ютерних систем

Частина тексту файла

Міністерство освіти і науки України Національний університет „Львівська політехніка” Звіт з лабораторної роботи № 1 з дисципліни: “Проектування вбудованих комп’ютерних систем” На тему: Дослідження найпростіших вузлів вбудованих комп’ютерних систем . Львів 2014 «Використання вбудованих бібліотечних елементів – простих вентилів» Мета роботи: засвоєння навичок роботи у середовищі Active-HDL, засвоєння методів створення бібліотечних елементів вузлів вбудованих комп’ютерних систем. Задати біблотечний елемент можна: його внутришною схемою; З допомогою генератора ядрер; HDL-описом. Хід роботи Запускаю програму Aldec Active-HDL Student Edition з ярлика на робочому столі / Зявляється робоче вікно програми / Створюю новий проект / У вікні нового проекту даю проекту ім’я, яке збігається з моїм прізвищем, і натискаю клавішу /. / Ставлю позначку, яка вказує, що створюваний проект є порожнім, тобто не містити ніяких файлів, тисну клавішу «Далле». / Задаю, які програмні засоби будуть використовувати цей проект надалі. Натискую клавішу «Далле». / / Задаю ім’я першої лабораторної роботи. Натискаю клавішу «Далле» / Натискаю клавішу «Готово». / У вікні управління розробкою задаю режим залучення нового файлу: / У вікні вибору нового файлу задаю його тип (блок схему і ім’я – sch0), натискаю клавішу /. / У вікні графічного редактора вибираю режим роботи з бібліотечними елементами /. / У вікні символів відкриваю бібліотеку вбудованих елементів: / Обраю з бібліотеки двовходові елементи І, І-НЕ, АБО, АБО-НЕ, виключне АБО, а також інвертор і розміщую їх на робочому полі вікна: / Задаю режим малювання зв’язків /, до кожного вивода задіяних елементів під’єдню зв’язки, довжиною приблизно рівній ширині елемента: / Двічі клацнувши на кожному зв’язку задаю їхні імена – верхні входи кожного елемента називаю GRS1, нижні входи – GRS2, виходи – GRS1_and_ GRS2, GRS1 _nand_ GRS2, GRS 1_or_ GRS2, GRS 1_nor_ GRS2, GRS1_xor_GRS2, inv_GRS1: / Встановлюю режим під’єднання вхідних контактів /, піднюю контакти до одного сигналу GRS1 і одного сигналу GRS2. Встановити режим під’єднання вихідних контактів /, під’єднюю контакти до кожного вихідного сигналу: /Запам’ятати створену схему / Проводжу компіляцію створеної схеми: / Підготовляю схему до моделювання: / Відкриваю новий текстовий файл: / У текстовому файлі набираю програму моделювання створеної схеми / view wave restart add wave GRS1 GRS2 GRS1_and_GRS2 GRS1_nand_GRS2 GRS1_or_GRS2 GRS1_nor_GRS2 GRS1_xor_GRS2 inv_GRS1 force GRS1 0 0, 1 100 ns force GRS2 0 0, 1 50 ns, 0 100 ns, 1 150 ns run 200ns Запам’ятовую створений файл під ім’ям 0.do: / Під’єднюю командний файл до проекту / Переходожу до режиму моделювання: / Проводжу моделювання схеми у відповідності з створеним командним файлом: / / Перевіряю правильність результатів моделювання за часовими діаграмами: / Для зміни масштабу зображення користуюся клавішами /. Переходжу в режим роботи з генератором ядер: / Обираю режим генерації базових елементів: Серед базових елементів обраю прості вентилі: / Задаю ім’я першого простого вентиля, його тип та кількість входів: / Створюю опис елемента: / Натискаю позначку /. Проводжу компіляцію новоутвореного елемента: / Знаходжу новоутворений елемент у бібліотеці: / і розміщую його на полі схеми, під’єдюю зв’язки до його входів і виходів, позначаю їхні імена: / Аналогічно створюю за допомогою генератора ядер елемети, які реалізують функції NAND (GRS_nand), OR (GRS_or), NOR (GRS_nor), XOR (GRS_xor), INV (GRS_inv), вводжу їх до складу схеми: / Запам’ятовую доповнену схему / Проводжу її компіляцію / Перезапускаю режим моделювання: / Доповнюю командний файл *.do / Проводжу моделювання схеми / Пересвідчуюся, що сигнали типу a_xxx збігаються з сигналами типу a_my_xxx...
Антиботан аватар за замовчуванням

25.05.2014 12:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини